|
$n$ -detection test (1) | 1-n detection (1) | 12-bit memories (1) |
16-bit memories (1) | 24-bit memories (1) | 3D IC (1) |
3D integrated design (1) | 8-bit memories (1) | accelerated fault simulation (1) |
Access-Transistor-Free (1) | Accuracy (3) | Adaptive Write Scheme (1) |
ADC (1) | ADC testing (1) | AES design (1) |
all-digital built-in self-test (1) | all-digital built-in self-test technique (1) | AMM module (1) |
analog-to-digital conversion (1) | analog-to-digital converters (1) | analogue-digital conversion (2) |
analysis (1) | Application specific integrated circuits (1) | application-aware testing (1) |
application-specific profile feedback (1) | Approximate computing (1) | approximate longest sensitized path metric (1) |
Arrays (1) | ASIC implementation (1) | Assertion checker (1) |
associative memory (1) | associative memristive memory module (1) | asymmetric voltages (1) |
at-speed testing (1) | ATF memristive crossbar scalability (1) | athermal characteristics (1) |
athermal waveguide design (1) | automated diagnosis (1) | automatic test pattern generation (2) |
automatic test pattern generation circuit model (1) | automatic test pattern generation tool (1) | bang-bang phase-frequency detector (1) |
BCH codes (1) | Benchmark testing (1) | BIST (1) |
built-in postfabrication tunability (1) | built-in self test (2) | Built-in self-test (2) |
C models (1) | C++ language (1) | C++ models (1) |
calibration (2) | calibration circuitry (1) | canonical analysis (1) |
chip area overhead reduction (1) | chip test measurement (1) | CHStone C high-level-synthesis benchmark set (1) |
Circuit faults (2) | Circuit optimization (1) | circuit reliability (1) |
Circuit simulation (1) | Circuit testing (3) | circuit yield (1) |
Clocks (1) | CMOS integrated circuits (3) | CMOS technology (1) |
Collaboration (1) | collaborative compilation (1) | Communication channels (1) |
compact test generation (1) | Compaction (1) | compiler (1) |
complex chips (1) | Computer architecture (2) | Computer bugs (1) |
Computer industry (1) | conflict avoidance (1) | controllability metrics (1) |
Correlation (4) | correlation exploration (1) | cost (1) |
cost reduction (1) | cost-sensitive electronic products (1) | costing (1) |
Costs (3) | coverage (1) | coverage metrics (1) |
Crossbar (1) | crossbar-based memristor arrays (1) | cryptographic hardware (1) |
cryptography (1) | DAC (1) | Data analysis (2) |
data reliability (1) | data reliability problems (1) | data reliable crossbar-based memristive memories (1) |
Debugging (2) | decoding (2) | defect screening (1) |
Delay (2) | Delays (2) | Design engineering (1) |
design flow (1) | Design for debug (1) | Design for disassembly (1) |
Design for testability (2) | design modifications (1) | design style (1) |
design-for-debug technique (1) | device characteristics (1) | device under test (1) |
DfD technique (1) | die (1) | Digital calibration (1) |
Digital circuits (1) | digital signal processing chips (1) | digital-analogue conversion (1) |
digital-to-analog conversion (1) | digitally-assisted analog circuits (1) | Digitally-assisted testing (1) |
digitally-calibrated ADC (1) | Discrete cosine transforms (2) | disturbance confinement (1) |
disturbance detection (1) | dynamic power overhead reduction (1) | dynamic test compaction (1) |
effective-number of bits (1) | efficient silicon characterization (1) | eFPGA core (1) |
Electrical products industry (1) | electron probes (1) | Electronic equipment testing (2) |
electronic systems (1) | elemental semiconductors (3) | embedded field programmable gate array (1) |
embedded FPGA (1) | embedded FPGA block (1) | energy conservation (1) |
energy consumption (1) | Energy efficiency (1) | energy saving (1) |
energy use (1) | energy-efficiency techniques (1) | energy-efficient GPGPU architecture (1) |
equalization-based calibration scheme (1) | Equations (2) | error correction (1) |
error correction codes (1) | error detection (1) | error injection tools (1) |
error tolerance (1) | error transfer function (1) | error-locality-aware codes (1) |
error-locality-aware linear coding (1) | Estimation (1) | exhaustive testing (1) |
fault coverage (1) | Fault detection (3) | fault diagnosis (2) |
fault simulation (1) | faulty design module (1) | faulty timing behavior (1) |
feature engineering (2) | Feature extraction (1) | feature transformation (1) |
field programmable gate arrays (3) | flexible electronic design style (1) | flexible electronics (3) |
Flexible printed circuits (1) | floating point units (1) | floating point units (FPUs) (1) |
FPU (1) | Frequency modulation (1) | frequency modulator (1) |
frequency synthesizer (1) | GCC compiler (1) | General public utilities (GPU) (1) |
general public utility (1) | general purpose graphics processing unit (1) | Genetic mutations (1) |
Glass (1) | global error (1) | Golay codes (1) |
GPGPUs (1) | GPU architecture parallelism (1) | GPU-based fault simulator (1) |
graphics processing units (2) | graphics processing units (GPUs) (1) | H.264 decoder (2) |
hard-to-detect bug (1) | Hardware (5) | High level synthesis (1) |
high-level synthesis (1) | high-volume industrial device (1) | Hopfield neural nets (1) |
Hopfield neural network (1) | Hopfield neural network circuit (1) | Hopfield neural networks (1) |
hybrid circuit (1) | hybrid circuits (1) | image sensor (1) |
in-field failure (1) | in-field failure rates (1) | in-field testing (1) |
Indexes (1) | indium compounds (2) | industrial products (1) |
InGaZnO (2) | injected error detection (1) | inner links (1) |
integrated circuit design (5) | Integrated circuit measurements (1) | Integrated circuit modeling (1) |
integrated circuit reliability (5) | Integrated circuit synthesis (1) | integrated circuit testing (7) |
integrated circuit yield (2) | integrated memory circuits (1) | integrated optics (2) |
inter-test-item correlations (1) | interface routing (1) | intertest item correlations (1) |
intertest-item correlations (1) | Inverters (1) | joint exploration (1) |
joint virtual probe (1) | Joints (1) | JVP implicit use (1) |
k-bit subspaces (1) | Kernel (1) | kernel execution (1) |
large-scale access-transistor-free memristive crossbar (1) | launch-on-capture (LOC) delay testing (1) | launch-on-capture scan testing (1) |
launch-on-capture transition fault testing (1) | Leakage currents (1) | Leakage-Current Filtering (1) |
Least-mean-squared (LMS) adaptation algorithm (1) | life time resiliency (1) | linear codes (1) |
Logic devices (1) | Logic gates (4) | logic testing (3) |
longest sensitized path (1) | low computational complexity (1) | low overhead time-multiplexed online checking (1) |
low-cost electronics (1) | low-cost resilience (1) | low-cost substrate (1) |
low-power (2) | low-power electronics (3) | low-power memory (1) |
malicious circuitry (1) | Manuals (1) | Manufacturing (1) |
manufacturing defect (1) | Manufacturing processes (2) | manufacturing test (1) |
manufacturing testing (1) | March Algorithm (1) | Mathematical model (2) |
measurement values (1) | memory access (2) | Memory Testing (1) |
memory usage optimization (1) | memory-based computing (1) | Memristive Crossbar (1) |
memristive memory-based computing (1) | memristor (5) | memristor circuits (1) |
memristors (4) | mesh based NoC (1) | metal oxide memristors (1) |
microarchitectural design (1) | microprocessor chips (1) | mixed analogue-digital integrated circuits (1) |
mixed-signal circuit (1) | Mixed-signal testing (1) | mono type thin film transistors (1) |
multibit upsets (1) | Multicore processing (1) | multiple test items (1) |
mutation (1) | mutation injection tool (1) | n-detection fault simulation (1) |
n-detection test (1) | Nanoscale devices (1) | Nanowires (1) |
network analysis (1) | Network-on-a-chip (1) | network-on-chip (1) |
Neurons (1) | nGFSIM (1) | NoC (1) |
Noise (2) | non-uniform spare distributtion (1) | Nonvolatile (1) |
numerical analysis (1) | numerical simulation (1) | Observability (1) |
observability metrics (1) | offset errors (1) | on-chip reconfigurable block (1) |
on-chip stimulus synthesis (1) | Online ResistanceMonitoring (1) | online testing (1) |
op-amp (1) | operational amplifier (1) | optical design techniques (1) |
optical resonators (1) | Optical ring resonators (1) | Optical waveguides (2) |
organic semiconductors (2) | organic TFT (1) | Organic thin film transistors (2) |
OSCI SystemC example models (1) | outer links (1) | parallel architectures (1) |
parallel test selection method (1) | parametric test items (1) | parasitic effect (1) |
partially-selected device (1) | Partitioning algorithms (1) | per-cell access-transistor (1) |
Permission (1) | Phase frequency detector (1) | phase locked loops (1) |
physical defects (1) | physical measurement (1) | Pipelines (1) |
plaintext bits (1) | Plastics (2) | platinum (1) |
PLL (1) | PLL architectures (1) | plugin interface (1) |
post fabrication tuning (1) | post-silicon bug detection (1) | post-silicon bug isolation (1) |
post-silicon debugging (1) | post-silicon hardware trojan detection (1) | Post-silicon validation (2) |
power aware computing (1) | Predictive models (1) | Principal component analysis (1) |
Production (2) | production engineering (1) | production test data (3) |
production test programs (1) | production test strategy (1) | production testing (1) |
program compilers (2) | program diagnostics (1) | program verification (1) |
Programmable logic arrays (2) | Protocols (1) | pseudo CMOS (1) |
pseudo-CMOS (1) | Pt-TiO2-x-Pt (1) | quality analysis (1) |
quality assurance (1) | quality assurance technique (1) | quality constraint (1) |
quality metric (1) | Radio frequency (1) | radiofrequency integrated circuits (1) |
random-access storage (1) | Redundancy (2) | Registers (1) |
regression analysis (1) | reliability (3) | reliability simulation (1) |
ReRAM (3) | Research and development (1) | Resistance (2) |
resistive switching devices (1) | resonance shift (1) | response analysis (1) |
RF circuit (1) | RFID tags (1) | ring resonance wavelengths (1) |
ring resonators (1) | robust circuit/system design (1) | robust flexible electronics (1) |
Robustness (1) | Runtime (2) | runtime reduction (1) |
SAT-solver (1) | SCEMIT (1) | screen potential test escape (1) |
second-order effects (1) | second-order thermo-optical effects (1) | security (1) |
Semiconductor device measurement (3) | Semiconductor device modeling (1) | semiconductor technology (1) |
Sensitivity (1) | Sensors (1) | sequential backtracing scheme (1) |
Si (3) | sigma-delta fractional-N RF PLLs (1) | Silicon (4) |
silicon debugging (1) | Silicon photonics (1) | silicon substrate (1) |
single-bit upset (1) | single-run fault simulation (1) | small delay defect (1) |
SoC (1) | Sociology (1) | Software algorithms (1) |
software metrics (1) | spare programmable core (1) | spare wires (1) |
spare-enhanced multi-core chip subject (1) | spatial correlations (1) | spatial item correlations (1) |
spatial pattern (1) | spatial patterns (1) | spatial variations (1) |
spatiotemporal reuse (1) | spectral dependency (1) | SRAM (1) |
SRAM chips (1) | SRAM memory (1) | standard cell (1) |
static gain (1) | statistical analysis (1) | statistical regression method (1) |
statistical regression tools (1) | statistical test (1) | statistical testing (1) |
steady-state fluctuation (1) | storage management chips (1) | Stress (1) |
stuck-at faults (1) | Substrates (2) | Switches (2) |
syndrome analysis (1) | System analysis and design (1) | System recovery (1) |
System testing (1) | system-on-chip (2) | SystemC (1) |
SystemC error (1) | Telecommunication network reliability (1) | temperature 20 degC to 50 degC (1) |
Temperature measurement (2) | temporal correlations (1) | ternary content-addressable memory (TCAM) (1) |
test circuitry (1) | test cost reduction (1) | test data analytics (1) |
test data compression (1) | test prediction (1) | test program (1) |
test quality improvement (1) | test quality optimization (1) | test response compaction (1) |
test response compaction. (1) | test selection (1) | test time reduction (1) |
Testing (2) | TFT technology (1) | TFT-LCD displays (1) |
Thermal degradation (1) | thermal stress (1) | thermal stresses (1) |
thermo-optical effects (2) | thermo-stress-optic behavior (1) | thin film circuits (1) |
thin film transistor (1) | thin film transistors (3) | three-dimensional integrated circuits (1) |
time division multiplexing (1) | time-multiplexed (2) | time-multiplexed assertion checking (1) |
Timing (2) | timing aware test selection method (1) | timing errors (1) |
timing sensitivity (1) | TiO2-clad silicon waveguides (1) | TiO2-Si (2) |
titanium compounds (3) | TMAC implementation (1) | TMOC checker (1) |
Topology (1) | Training (1) | transfer function characterization (1) |
transfer functions (1) | Transistors (1) | transition fault (TF) (1) |
transition fault (TF). (1) | transition fault detection (2) | triple-error-correcting Golay code (1) |
Trojan horses (2) | TV (1) | ultra-low power computing (1) |
ultradense memory system (1) | ultrahigh-density (1) | Variation-Aware Design (1) |
variations (1) | Vectors (4) | verification effort (1) |
Very large scale integration (1) | video coding (1) | virtual probe (1) |
Voltage measurement (2) | voltage overscaling (2) | wafer (1) |
wavelength 1.3 mum (1) | Wavelength measurement (1) | weighted group lasso (1) |
weighted optimization problem (1) | Wires (1) | word length 4 bit (1) |
word length 6 bit (1) | yield and cost modeling (1) | yield enhancement (1) |